CAMP is excited to host the 25th International Symposium on Chemical-Mechanical Planarization (CMP)

August 6 - 9, 2023 in Lake Placid, NY

Chemical-mechanical planarization (CMP) has come to occupy a central role in many industrially important technologies, especially in semiconductor manufacturing. The 25th International Symposium on CMP, hosted by Clarkson University’s Center for Advanced Materials Processing (CAMP), is the leading forum to hear leading-edge ideas and technologies relating to CMP along with ample time to network with colleagues in the CMP field.

The meeting will again be held in picturesque Lake Placid, New York, in the High Peaks Resort Hotel

Hotel and travel information can be found further below. 

Schedule Announced! 

We are pleased to announce the schedule of talks – see below (updated 8/2/2023).

SUNDAY, AUGUST 6

1:00 pm – 5:00 pm         Registration & Hotel Check-In (Hotel Lobby)

5:00 pm – 6:00 pm         Welcome Reception (Sentinel Range)

MONDAY, AUGUST 7         All presentations will be held in McIntyre Range

7:00 am – 8:00 am         Breakfast (Great Range)

SESSION A:                   Chair: Bob Roberts (X-trinSiC)

8:00 am – 8:10 am         Opening Remarks Devon A. Shipp, Clarkson University

8:10 am – 9:00 am         Plenary: CMP Challenges and Equipment Solutions for FEOL Applications, Katrina Mikhaylichenko, Applied Materials

9:00 am – 9:25 am         CMP Opportunities for Emerging Semiconductor Technologies, Thomas Hoff, Intel Corporation

9:25 am – 9:50 am        Nodule Deformation and Contact Path of PVA Roller Brushes on a Rotating Plate, Toshiyuki Sanada, Shizuoka University

9:50 am – 10:15 am       Break (25 min)

SESSION B:                     Chair: Thomas Hoff (Intel)

10:15 am – 10:40 am     Characterization of PVA Brush in Post-CMP Cleaning for 10nm Below Metal CMP Process, Jingoo Park, Hanyang University

10:40 am – 11:05 am     Corrosion Management and Cleaning of Very Small Features Following CMP of Advanced Logic Devices, Paul Bernatis, DuPont

11:05 am – 11:20 am    United States CMP Users Group: User Group Activities and Program Status, Bob Roberts, Chair – United States CMP Users Group

11:20 am – 12:00 pm     Poster Pitches, Various

12:00 pm – 1:30 pm       Lunch (Great Range)

1:30 pm – 4:00 pm         Open Time

SESSION C:                     Chair: Tricia Burroughs (GlobalFoundries)

4:00 pm – 4:25 pm         Advanced in W CMP Slurries for High Rate and Low Corrosion, Na Zhang, Entegris

4:25 pm – 4:50 pm         The “PER”-fect Storm: Exploring Complex-Assisted Redox Chemistries for Enhanced SiC CMP Performance, Jason Keleher, Lewis University

4:50 pm – 5:15 pm         Cu CMP Center Residue Relationship to Pad Groove Design and Head Oscillation,Eric Egan, GlobalFoundries

5:15 pm – 5:40 pm         Poster Pitches, Various

5:40 pm – 7:00 pm         Poster Session and Reception (Sentinel Range)

7:00 pm – 9:00 pm         2023 International CMP Symposium: Dinner & Keynote Address (Great Range)

Andy Carswell, Director of DRAM CMP at Micron, The “Bond” Between Advanced Memory and CMP has Never Been Stronger – CMP will Enable the Memory Roadmap. Introduced by Marc P. Christensen (President, Clarkson University)

TUESDAY, AUGUST 8

7:00 am – 8:30 am         Breakfast Buffet (Great Range)

SESSION D:                    Chair: Hirokuni Hiyama (Ebara)

8:10 am – 9:00 am         Plenary: An Overview of Advanced Process Control Solutions for CMP, Jun Qian, Applied Materials

9:00 am – 9:25 am         Slurry Activation for Enhanced Surface Redox Reactions in CMP, Ara Philipossian, Araca, Inc.

9:25 am – 9:50 am         Moving Sub-100 nm Particle on Surface Observatory in Wet Process by Evanescent Wave, Panart Khajornrungruang, Kyushu Institute of Technology

9:50 am – 10:15 am       Break (25 min)

SESSION E:                     Chair: Julia Kozhukh (DuPont)

10:15 am – 10:40 am     Environmentally Friendly Amino Acid-based CMP Slurry for Cu and Co, Elizabeth Podlaha-Murphy, Clarkson University

10:40 am – 11:05 am     AFM Study on the Hydration of PVA Brush Surface and its Impact on the Interaction with Abrasive Nanoparticle, Takeshi Fukuma, Kanazawa University

11:05 am – 11:30 am     Challenges with Utilizing Sonic Energy for post CMP Cleaning Applications, Timothy Thao, Applied Materials

11:30 am – 11:55 am     Design and Manufacturing Strategies for Scratch-less Pads in Chemical-Mechanical Polishing, Sanha Kim, KAIST

12:00 pm – 1:30 pm       Lunch (Great Range)

1:30 pm – 4:00 pm         Open Time

2:00 pm – 4:00 pm         Mentoring Workshop, Led by Tricia Burroughs (GlobalFoundries) (Great Range)

SESSION F:                     Chair: Taesung Kim (Sungkyunkwan University)

4:00 pm – 4:25 pm         W Post CMP Clean Performance with Advanced Formulations, Wei-Tsu Tseng, IBM

4:25 pm – 4:50 pm         Slurry PSD’s and LPC’s: Why Do They Matter?, Robert Rhoades, X-trinSiC

4:50 pm – 5:15 pm         CMP Challengess in Imager and Micro Display Fabrication, Knut Gottfried, Fraunhofer ENAS

5:15 pm – 5:40 pm        CMP Sustainability Practices for Water Conservation in a High Volume Manufacturing Environment, Elizabeth Anderson, Analog Devices

5:40 pm – 6:30 pm         Reception (Sentinel Range)

6:30 pm – 8:30 pm         2023 International CMP Symposium: Dinner & Keynote Address (Great Range)

Hoyoung Kim, Master, Process Development, Semiconductor R&D Center, Samsung Electronics, CMP at 40: Beyond the Hype, Challenges and Opportunities for the Next 10 Years. Introduced by Bill Jemison (Dean, Coulter School of Engineering, Clarkson University.

WEDNESDAY, AUGUST 9

7:00 am – 8:30 am         Breakfast Buffet

SESSION G:                    Chair: Bradley Wood (Entegris)

8:10 am – 8:35 am         Planarization Technology above Chemical Mechanical Polishing, JiChul Yang, Ebara

8:35 am – 9:00 am         Abrasive Size Distribution Control of CMP Slurry by Using Tangential Flow Filtration,Taesung Kim, Sungkyunkwan University

9:00 am – 9:25 am         Modern Nanoparticle Characterization Tools for CMP, Jeffrey Bodycomb, HORIBA Instruments Inc.

9:25 am – 9:50 am         CMP Removal Rate Scaling using the Shear Force Law How to Change the Removal Rate Without Affecting Friction and vice versa, Leonard Borucki, Araca, Inc.

9:50 am – 10:15 am       Break (25 min)

SESSION H:                    Chair: Jihoon Seo (Clarkson University)

10:15 am – 10:40 am     Thickness Profile Verification on the Time Dependency in FEOL CMP, Seungmahn Lee, Samsung Electronics

10:40 am – 11:05 am     Biased Pulse Groove: Efforts Towards Sustainability, Jin Kim, DuPont

11:05 am – 11:30 am     Design of Carbon-Based Electrodes for Cu Removal and Recovery from CMP Slurries, James Landon, ElectraMet

11:30 am – 11:55 am     SiC vs. Silicon CMP, Ian Currier, X-trinSiC

11:55 am – 12:00 pm     Closing Remarks, Devon A. Shipp, Clarkson University

12:00 pm – 1:30 pm       Lunch (Great Range) & Depart

Registration & Accommodation Information

Registration is now CLOSED.
Check-in time is 4pm or after.
Registration will include 3 breakfasts, 3 lunches, reception food, snacks during breaks, and dinners on Monday and Tuesday nights.
 
Our block-booking for accommodation at the High Peaks Resort is now closed. For those who used CAMP’s block-booking: accommodation will be paid separately directly to the hotel.
For those who have not made accommodation arrangements: there are several hotels in Lake Placid that you can make reservations at separately. 
 
FYI: the High Peaks Resort can be reached directly at +1-518-523-4411.
You can check out the hotel amenities by visiting their website: https://www.highpeaksresort.com 

A completed tax-exempt form must be presented for tax-exempt status.

How to get to Lake Placid?

The following website has information on how to get to Lake Placid:

(https://www.lakeplacid.com/explore/getting-here)

While there is a small regional airport close by that has flights to/from Boston, planes are small and can be bumpy 🙁 

The closest international airport is Albany NY (the NY State capitol). If you choose to fly into Albany, rent a car and then enjoy the 2.5 hour drive through the picturesque Adirondacks. 

Poster Presentations

Monday, August 7, 5:40 – 7:00 PM in Sentinel Range

 

1.   Surfactant-Mediated Non-Contact Dual Jet Cleaning for Post-CMP Wafer Surface, Wafi Aziz1, Takuo Sugioka2, Kazuya Date2, Yasushi Fujii2, Takako Harigae3, Mina Tsujiwaki3, and Jihoon Seo1

1Department of Chemical and Biomolecular Engineering, Clarkson University, Potsdam, NY, 2Electronics & Environmental Solution Division, NIPPON SHOKUBAI CO., LTD., Osaka, Japan, 3Corporate Research Center, NIPPON SHOKUBAI CO., LTD., Osaka, Japan.

2.     Post-CMP Cleaning of Non-Spherical Abrasives Charged by Ion Spray or Carrying TRIBOELECTRIC CHARGES, Abbas Khanmohammadi, Goodarz Ahmadi *
Department of Mechanical and Aerospace Engineering, Clarkson University, POTSDAM, NY, 13699, USA.

3.     Robust Sustainability Analysis of CMP Consumable Manufacturing, Ravitej Venkataswamy1, Lyle Trimble1, Andrew McDonald2, Douglas Nevers3, Leticia Vazquez Bengochea2, Andrew Carswell2, Alan Rossner4 and  Jihoon Seo1*
1Department of Chemical & Biomolecular Engineering, Clarkson University, Potsdam, NY, USA.
2DRAM CMP, Micron Technology Inc, Boise, ID, USA.
3NAND CMP, Micron Technology Inc, Boise, ID, USA.
4Institute for a Sustainable Environment, Clarkson University, Potsdam, NY, USA.

4.     Toward Minimizing Ceria-Related Defects: An In-Depth Analysis of Ceria Removal Models and Their Associated Defects During Brush Scrubbing, Van-Tuan Nguyen1, James Wait2, Tomoya Nishi3, Satomi Hamada3, Hirokuni Himaya3, and Jihoon Seo1, USA, 
Department of Chemical and Biomolecular Engineering, Clarkson University, Potsdam, NY,
Department of Physics, Clarkson University, Potsdam, NY, USA, 3
 EBARA Corporation, Fujisawa, Kanagawa 251-8502, Japan.

5.     Environmentally Friendly Semiconductor Cleaning SolutionsTakako Harigae1, Mina Tsujiwaki1, Takuya Kamiyama1Kazuya Date2, Yasushi Fujii2Takuo Sugioka*2
Corporate Research Center, NIPPON SHOKUBAI Co., Ltd., Osaka 
JAPAN,
Electronics & Environmental Solutions Division, NIPPON SHOKUBAI Co., Ltd., Osaka JAPAN.

6.     Examining Leucine as an Environmentally Friendly Corrosion Inhibitor for Cu and Co Chemical-Mechanical Planarization (CMP), Hoang Tran Thi Thuy, Juster Gichovi, Jihoon Seo and Elizabeth Podlaha,
Department of Chemical and Biomolecular Engineering, Clarkson University, Potsdam, New York, USA.

7.     Sustainable Photoactive NanocatalystsChathura Wijethunga and Melissa King, Clarkson University, Potsdam, NY 13699, USA. 

8.     Mechanisms of Material Removal for Molybdenum CMP Examined in the Tribo-Electrochemical Approach using Citrate and Percarbonate as Surface Modifiers, Kassapa Gamagedara and Dipankar Roy*, 

Department of Physics, Clarkson University, Potsdam, New York 13699-5820, USA.

9.     Alternative Chemistries for SiC-CMP Slurry Formulations, Joseph L. Powell, Heather Kamuda, and Jason J. Keleher*,
Department of Chemistry, Lewis University, Romeoville IL, 60446, USA.

10.  Utilizing Megasonic Energy to Induce Chemically Active Low Shear Environments for Silicon Carbide (SiC) Cleaning, Adam T. Caridi, Joseph L. Powell, Piper A. Smith, Jason J. Keleher*, Department of Chemistry, Lewis University, Romeoville IL, 60446, USA.

11.  Stimuli Responsive Chemistry for Cu Enhanced Chemical Mechanical Planarization (CMP) Performance, Tatiana R. Cahue, Kiana A. Cahue, Adam T. Caridi, Ara Philipossian, Yasa Sampurno, Jason J. Keleher*,
Department of Chemistry, Lewis University, Romeoville IL, 60446, USA.

12.  Developing an Amine-Based Chemistry for Shallow Trench Isolation Post-CMP Cleaning, Kevin R. Reyes, Nicole L. Courtenay, Ulysses I. Flores, and Jason J. Keleher*,
Department of Chemistry, Lewis University, Romeoville IL, 60446, USA.

13.  The Influence of Pad Micro-Feature Design on Chemical Mechanical Polishing (CMP) Performance: Insights from Computational Fluid Dynamics (CFD), Atefeh Sadri Mofakham1, Hanchul Cho2, Hyongjae Kim2, Goodarz Ahmadi3 and Jihoon Seo1,
1Department of Chemical and Biomolecular Engineering, Clarkson University, Potsdam, NY, USA, 2KITECH, Dongnam Division, Precision Mechanical Process and Control R&D group, Principal Researcher, Busan, Republic of Korea,
3 Department of Mechanical and Aerospace Engineering, Clarkson University, Potsdam, NY, USA.

14.  Application of Computational Fluid Dynamics for Predicting Chemical Mechanical Planarization Performance in Fabrication Processes, Atefeh Sadri Mofakham1, Jihoon Seo1 and Goodarz Ahmadi2,
1
Department of Chemical and Biomolecular Engineering, Clarkson University, Potsdam, NY, USA, 2Department of Mechanical and Aerospace Engineering, Clarkson University, Potsdam, NY, USA.

15.  Development and Application of a Post-CMP Cleaning Solution Reducing Ceria Contamination, Katie Gramigna, Ping Tzeng, and Paul Bernatis, Advanced Cleans Technology, DuPont, NEWARK, DE 19713, USA.

16.  Novel Polymer Materials: From Surface-Eroding and Recyclable Polyanhydrides to Sustainable and Functional Polyurethanes, Zinnat Morsada, Pooja Yadav, Kashif Ijaz, Arielle Santefort, Ponsubha Sivabalan, Harini Gunasekaran, Nikunjkumar Visaveliya, Devon A. Shipp*, Department of Chemistry & Biomolecular Science, and Center for Advanced Materials Processing, Clarkson University, Potsdam NY 13699, USA.